186 6511 0000

2022年全球半導(dǎo)體行業(yè)10大技術(shù)趨勢

發(fā)布時間:2022-02-17
已有 9592 家企業(yè)看過

       2020年秋開始在全球范圍內(nèi)爆發(fā)的芯片短缺,在2021年持續(xù)了一整年仍沒有緩解態(tài)勢,半導(dǎo)體行業(yè)在拓展產(chǎn)能的同時,也在積極將工藝升級提高產(chǎn)出率。另一方面,新冠病毒不斷出現(xiàn)變異,疫情的延續(xù)對于整個半導(dǎo)體行業(yè)的影響依舊存在,遠(yuǎn)程辦公、線上會議和在線教育習(xí)慣的形成,加速了多個產(chǎn)業(yè)的數(shù)字化轉(zhuǎn)型,也從側(cè)面促進(jìn)了網(wǎng)絡(luò)通信、AI、存儲和云服務(wù)等技術(shù)更新。

1234

       AspenCore全球分析師團(tuán)隊在這一年中與業(yè)內(nèi)專家和廠商交流,總結(jié)分析后挑選出了2022年全球半導(dǎo)體行業(yè)將出現(xiàn)或高速發(fā)展的10大技術(shù)趨勢。

1、3nm工藝量產(chǎn),2nm競爭不確定性增加

       半導(dǎo)體尖端制造工藝方面,2020年三星foundry臨時將4LPE調(diào)整為完整工藝節(jié)點(diǎn)——即4nm工藝會成為三星接下來一段時間的推廣重點(diǎn)。加上2021年10月臺積電發(fā)布的消息基本明確了N3工藝的稍許延后,2022年或許將成為4nm工藝之年;iPhone 14要趕上3nm工藝是幾乎無望的。

       不過基本可以明確的是,雖然采用臺積電N3工藝的芯片最快大概需要等到2023年一季度才會問世,但N3工藝量產(chǎn)明確在是2022年第四季度。

       與此同時,我們認(rèn)為,三星3nm GAA或許會比臺積電N3再晚一點(diǎn)。三星在3nm節(jié)點(diǎn)上開始采用GAA結(jié)構(gòu)晶體管是焦點(diǎn),但實際上三星也未能按照時間點(diǎn)如期推進(jìn)。而且基于三星目前公開的數(shù)據(jù),其最早的3nm工藝在技術(shù)層面可能會存在更大的不確定性。

       至于Intel 3,即便按照規(guī)劃也是完全趕不上2022年的班車的。我們認(rèn)為,臺積電N3將持續(xù)保持市場優(yōu)勢地位,并且相較另外兩名對手暫時有著顯著的領(lǐng)先。但在N3上踩一腳剎車,實際上也為2nm時代的來臨埋下隱患。

       一方面Intel 20A工藝預(yù)計將在2024年上半年到來,Intel 18A則或可見于2025年下半年——Intel在這兩個節(jié)點(diǎn)上預(yù)備重返技術(shù)領(lǐng)先地位的決心是相當(dāng)大的;另一方面三星預(yù)計將在2025年下半年量產(chǎn)的2nm工藝,將是其第三代GAA結(jié)構(gòu)晶體管,即其3nm工藝雖然很難取得市場優(yōu)勢地位,但技術(shù)上將為其2nm工藝提供強(qiáng)有力的支撐。這些都為后續(xù)2nm工藝市場競爭增加了不確定性。

1

2、DDR5標(biāo)準(zhǔn)內(nèi)存進(jìn)入量產(chǎn)和商用

       2020年7月15日,為了解決從客戶端系統(tǒng)到高性能服務(wù)器的廣泛應(yīng)用所面臨的性能和功耗挑戰(zhàn),固態(tài)技術(shù)協(xié)會(JEDEC)正式發(fā)布了下一代主流內(nèi)存標(biāo)準(zhǔn)DDR5 SDRAM的最終規(guī)范(JESD79-5),為全球計算機(jī)內(nèi)存技術(shù)拉開了新時代的序幕。JEDEC將DDR5描述為一種“具備革命意義”的內(nèi)存架構(gòu),認(rèn)為它的出現(xiàn)標(biāo)志著整個行業(yè)即將向DDR5服務(wù)器雙列直插式內(nèi)存模塊(DIMM)過渡。

       市場調(diào)研機(jī)構(gòu)Omdia分析指出,對DDR5的市場需求從2020年已經(jīng)開始逐步顯現(xiàn),到2022年,DDR5將占據(jù)整個DRAM市場份額的10%,2024年則將進(jìn)一步擴(kuò)大至43%;Yole Development則預(yù)測稱,DDR5的廣泛采用應(yīng)該會從2022年的服務(wù)器市場開始,2023年,手機(jī)、筆記本電腦和PC等主流市場將開始廣泛采用DDR5,出貨量明顯超過DDR4,兩種技術(shù)間完成快速過渡。

       內(nèi)存帶寬增長速度遠(yuǎn)遠(yuǎn)趕不上處理器性能的提升速度,這是DDR5推出的根本動力所在。但與其先前幾代產(chǎn)品的迭代重點(diǎn)主要集中在如何降低功耗上,并將PC視為應(yīng)用優(yōu)先級不同,業(yè)界普遍認(rèn)為,DDR5將緊隨DDR4的步伐,率先導(dǎo)入數(shù)據(jù)中心。

       DDR5最亮眼的部分,就是速度比已經(jīng)“超級快”的DDR4還要快。與1.6GHz時鐘頻率下DDR4內(nèi)存最高3.2Gbps的傳輸速度相比,全新DDR5內(nèi)存的最高傳輸速率達(dá)到了6.4Gbps,并同步將供電電壓從DDR4的1.2V降至1.1V,進(jìn)一步提升了內(nèi)存的能效表現(xiàn)。

       目前,三星、SK海力士和美光等全球存儲巨頭已經(jīng)宣布了各自的DDR5產(chǎn)品量產(chǎn)和商用時間表。不過,DDR5的面市絕非一蹴而就,它需要得到包括系統(tǒng)和芯片服務(wù)商、渠道商、云服務(wù)提供商和原始設(shè)備制造商在內(nèi)的生態(tài)系統(tǒng)的強(qiáng)力支持。

3、DPU市場蛋糕持續(xù)做大和爆發(fā)

       DPU這個名號變得響亮是從2020年近年底的時候開始的。我們認(rèn)為,將DPU這一名詞變得熱門的市場行為,一是英偉達(dá)收購以色列公司Mellanox之后,次年就發(fā)明了 “DPU”一詞;二是同年初創(chuàng)公司Fungible大肆推廣DPU這個名字。

       DPU的D是指data數(shù)據(jù)。不得不承認(rèn)老黃是營銷鬼才,smartNIC搖身一變就成了DPU數(shù)據(jù)處理器;而且以迅雷不及掩耳之勢,短時間內(nèi)冒出了數(shù)十家DPU初創(chuàng)企業(yè)。

       DPU本質(zhì)上是smartNIC進(jìn)化,但從DPU的火熱并不難看出數(shù)據(jù)中心對于數(shù)據(jù)方向?qū)S锰幚砥鞯臒崃铱是?,以及形態(tài)上的進(jìn)一步固定和標(biāo)準(zhǔn)化。

       早年數(shù)據(jù)中心有個詞叫“數(shù)據(jù)中心稅”,即服務(wù)器選購很多核心的CPU,但對最終業(yè)務(wù)而言,其中一部分核心是默認(rèn)被“吞噬”的。因為這些處理器資源需要用來做數(shù)據(jù)虛擬networking、安全、存儲、虛擬化等工作。當(dāng)這些工作變得越來越復(fù)雜,DPU就出現(xiàn)了。就像針對圖形計算有GPU,針對AI計算有NPU,DPU也是這個時代專用計算崛起的一個產(chǎn)物。

       一般我們說DPU的工作包括了第一,offload(卸載)原屬于CPU的OVS、存儲、安全服務(wù)之類的活兒;第二,以hypervisor管理做隔離、虛擬化實現(xiàn);第三是以各種方式,進(jìn)一步加速跨節(jié)點(diǎn)的數(shù)據(jù)處理。

       DPU成為數(shù)據(jù)中心標(biāo)配也就不難理解。但需要注意的是,在具體實現(xiàn)上,不同的DPU不應(yīng)同臺競技,這是由其扮演角色差異導(dǎo)致的。比如Intel的IPU雖然也是一種DPU,但和英偉達(dá)DPU在職責(zé)和工作偏向性上仍然有不同。所以有一定可能性,DPU市場或許會出現(xiàn)細(xì)分。以及數(shù)據(jù)中心系統(tǒng)企業(yè)都在自研更具適配性的DPU,這給DPU市場帶來了不確定性。

4、存算一體翻越“存儲墻”和“功耗墻”

       存算一體技術(shù)(Processing in-memory,PIM)概念的形成,最早可以追溯到上個世紀(jì)七十年代,但當(dāng)時受限于芯片設(shè)計復(fù)雜度與制造成本問題,缺少殺手級大數(shù)據(jù)應(yīng)用進(jìn)行驅(qū)動,一直不溫不火。

       隨著最近幾年芯片制造工藝的進(jìn)步和人工智能(AI)應(yīng)用的發(fā)展,處理器計算能力越來越強(qiáng)、運(yùn)算速度越來越快,存儲容量越來越大。面臨數(shù)據(jù)洪流,數(shù)據(jù)搬運(yùn)慢、搬運(yùn)能耗大等問題成為了計算瓶頸。從處理單元外的存儲器提取數(shù)據(jù),搬運(yùn)時間往往是運(yùn)算時間的成百上千倍,整個過程的能耗大概在60%-90%之間,能效非常低。

       另一方面,接近極限的摩爾定律和被存儲墻限制的馮諾依曼架構(gòu),在算力提升上也已經(jīng)不能滿足這個時代的需求。當(dāng)前多種嘗試解決處理“存儲墻”與“功耗墻”的非馮諾依曼(non-von Neumann)架構(gòu)包括低電壓亞閾值數(shù)字邏輯ASIC、神經(jīng)模態(tài)(Neuromorphics)計算和模擬計算等,而存算一體是最直接高效的一種。

       存算一體可理解為在存儲器中嵌入算法,令存儲單元具備計算能力,這是新型的運(yùn)算架構(gòu),做的是二維和三維矩陣乘法運(yùn)算,而不是在傳統(tǒng)邏輯運(yùn)算單元上優(yōu)化。這樣能從理論上消除數(shù)據(jù)搬移的延遲和功耗,成百上千倍地提高AI計算效率,降低成本,因此格外適用于神經(jīng)網(wǎng)絡(luò)。

       當(dāng)前國內(nèi)外已經(jīng)有一大批存算一體芯片公司伴隨著融資信息浮出水面,動輒億元起的融資金額也充分證明了后摩爾時代,異構(gòu)計算和新架構(gòu)正在獲得資本的青睞?;诓煌拇鎯橘|(zhì),各家在做存算一體技術(shù)的時候會采用不同的技術(shù)方向,有些是憶阻器,有些則是SRAM、DRAM、Flash等。隨著3D堆疊技術(shù)的發(fā)展,以及新型非易失性存儲器件的日益成熟,存算一體將迎來屬于它的時代。

5、5G建設(shè)重點(diǎn)向獨(dú)立組網(wǎng)和毫米波轉(zhuǎn)變

       憑借光纖般的速度、超低時延和網(wǎng)絡(luò)大容量,5G正在產(chǎn)生如同電力一樣巨大的影響力,徹底變革著各行各業(yè)。

       而作為Sub-6GHz頻段的有力補(bǔ)充,5G毫米波具備頻率寬帶容量大,易與波束賦形結(jié)合,超低時延等多個突出優(yōu)勢,有利于推動工業(yè)互聯(lián)網(wǎng)、AR/VR、云游戲、實時計算等行業(yè)的發(fā)展。同時,毫米波可以支持密集區(qū)域的部署,進(jìn)行高精度定位,設(shè)備集成度高,將有利于促進(jìn)基站和終端的小型化發(fā)展。

       根據(jù)GSMA《毫米波的應(yīng)用價值》報告,預(yù)計到2035年,5G毫米波將創(chuàng)造5650億美元的全球GDP,并產(chǎn)生1520億美元的稅收,占到5G創(chuàng)造總價值的25%。而另一份《5G毫米波在中國》的報告則指出,預(yù)計到2034年,在中國使用毫米波頻段所帶來的經(jīng)濟(jì)收益將達(dá)到1040億美元,其中垂直行業(yè)領(lǐng)域中的制造業(yè)和水電等公用事業(yè)占貢獻(xiàn)總數(shù)的62%,專業(yè)服務(wù)和金融服務(wù)占12%,信息通信和貿(mào)易占10%。

       目前,已有48個國家的186家運(yùn)營商正在26-28GHz、37-40GHz和47-48GHz的毫米波頻譜上規(guī)劃發(fā)展5G;23個國家的134家運(yùn)營商持有許可證,可以進(jìn)行毫米波部署,北美、歐洲和亞洲占據(jù)所有頻譜部署的75%。其中,26-28GHz是被部署和發(fā)放牌照最多的毫米波頻段,37-40GHz頻段緊隨其后。

       但并不是所有應(yīng)用場景都需要毫米波覆蓋。2021年7月,中國工信部聯(lián)合十部門印發(fā)《5G應(yīng)用“揚(yáng)帆”行動計劃(2021-2023年)》,提出針對工業(yè)物聯(lián)網(wǎng)、車聯(lián)網(wǎng)、物流、港口、電力、農(nóng)業(yè)等9個場景進(jìn)行5G業(yè)務(wù)深化推進(jìn),而上述場景對帶寬、時延的要求非常高,易于毫米波發(fā)揮自身優(yōu)勢。

6、EDA工具開始使用AI設(shè)計芯片

       當(dāng)前智能手機(jī)、車聯(lián)網(wǎng)、IoT等終端,對于系統(tǒng)級芯片(SoC)的PPA (功耗、性能、面積)提出了更高的要求。面對動輒數(shù)百億顆晶體管的芯片設(shè)計規(guī)模,以及異構(gòu)集成、系統(tǒng)級封裝、Chiplets等新的封裝方向,如果沒有機(jī)器學(xué)習(xí)(ML)和人工智能的輔助,只用現(xiàn)有的設(shè)計方法,工程師們會面臨越來越嚴(yán)峻的挑戰(zhàn)。

       將AI設(shè)計從概念升級到實戰(zhàn)階段,無論是在EDA工具中應(yīng)用AI算法賦能芯片設(shè)計的“AI Inside”,還是關(guān)注如何設(shè)計EDA工具助力AI芯片高效設(shè)計的“AI Outside”,EDA工業(yè)界和學(xué)術(shù)界都已經(jīng)開始行動。在國家戰(zhàn)略層面,美國國防高級研究計劃局(DARPA)甚至開始將電子資產(chǎn)智能設(shè)計(IEDA) 作為代表性項目,重點(diǎn)突破優(yōu)化算法、7nm以下芯片設(shè)計支持、布線和設(shè)備自動化等關(guān)鍵技術(shù)難題。

       其實AI用于芯片設(shè)計已經(jīng)不是新鮮事了,谷歌當(dāng)年在設(shè)計TPU芯片時就用到了AI技術(shù);三星將AI技術(shù)融入到芯片的設(shè)計中,據(jù)稱超越了此前可以達(dá)到的芯片PPA效果;英偉達(dá)也正在用AI算法來優(yōu)化5nm和3nm芯片的設(shè)計…

       總的來說,芯片設(shè)計后端(或稱物理實現(xiàn)),尤其是人力占比巨大的版圖、布局布線領(lǐng)域是AI發(fā)力的關(guān)鍵,快速建模、電路仿真、提升VLSI QoR等也都是EDA運(yùn)用AI的方向??梢钥闯瞿壳癆I的優(yōu)勢在于執(zhí)行大規(guī)模運(yùn)算、對比提取或?qū)σ恍┕δ苓M(jìn)行增強(qiáng),而在“從0到1”的創(chuàng)造階段以及決策階段,仍需要配合人類工程師。但不管怎么說,AI將是EDA未來發(fā)展的終極形式,也是未來幾年芯片設(shè)計效率提升的關(guān)鍵。

7、Matter將推動物聯(lián)網(wǎng)和智能家居互聯(lián)標(biāo)準(zhǔn)的統(tǒng)一

       連接標(biāo)準(zhǔn)聯(lián)盟(Connectivity Standards Alliance,原Zigbee聯(lián)盟)與亞馬遜、蘋果和谷歌等智能家居廠商在原來的IP互聯(lián)家庭項目(Project Connected Home over IP,CHIP)基礎(chǔ)上發(fā)展出Matter這一標(biāo)準(zhǔn)化的互聯(lián)協(xié)議,旨在讓來自不同廠商、采用各種無線連接標(biāo)準(zhǔn)的物聯(lián)網(wǎng)設(shè)備實現(xiàn)互操作性和兼容性,從而為消費(fèi)者帶來更好的設(shè)備安裝和操作使用體驗,同時簡化制造商和開發(fā)者的物聯(lián)網(wǎng)設(shè)備開發(fā)流程。

       Matter作為應(yīng)用層,可以將采用各種 IP 協(xié)議和互聯(lián)標(biāo)準(zhǔn)運(yùn)行的設(shè)備統(tǒng)一起來,支持它們進(jìn)行跨平臺的通信。獲得Matter認(rèn)證的產(chǎn)品可以與亞馬遜Alexa、蘋果HomeKit,以及谷歌等智能家居生態(tài)系統(tǒng)兼容。Matter協(xié)議目前支持以太網(wǎng)、Wi-Fi和Thread三種底層通信協(xié)議,并且還統(tǒng)一采用低功耗藍(lán)牙(BLE)作為配對方式。Matter不會取代任何現(xiàn)有物聯(lián)網(wǎng)無線協(xié)議,它是運(yùn)行在現(xiàn)有協(xié)議之上的一個架構(gòu),將來還會支持更多的協(xié)議,包括Zigbee和Z-Wave等。

       Matter標(biāo)準(zhǔn)已經(jīng)得到互聯(lián)網(wǎng)巨頭(亞馬遜、蘋果和谷歌)、芯片供應(yīng)商(Silicon Labs、NXP和樂鑫科技)、物聯(lián)網(wǎng)和智能家居設(shè)備廠商(宜家、華為和OPPO),以及智能家居平臺(涂鴉和Wulian)的支持,預(yù)計從2022年開始將會在全球范圍內(nèi)快速增長和普及,成為物聯(lián)網(wǎng)和智能家居的統(tǒng)一互聯(lián)標(biāo)準(zhǔn)。

8、RISC-V架構(gòu)處理器進(jìn)入高性能計算應(yīng)用領(lǐng)域

       10年前起源于UC-Berkeley的RISC-V現(xiàn)已成為主流的微處理器架構(gòu)指令集(ISA),但其主要應(yīng)用還局限在嵌入式系統(tǒng)和微控制器(MCU)領(lǐng)域,尤其是新興的物聯(lián)網(wǎng)市場。這一開源、免費(fèi)和自由的微處理器架構(gòu)能否像x86和Arm那樣擔(dān)當(dāng)高性能計算(HPC)的重任?從芯片巨頭、fabless初創(chuàng)公司到微處理器內(nèi)核IP開發(fā)商都在嘗試將RISC-V引入數(shù)據(jù)中心、AI、5G和服務(wù)器等高性能計算應(yīng)用領(lǐng)域,RISC-V大有與x86和Arm平分天下之勢。

       SiFive的Performance系列是其最高性能的 RISC-V 內(nèi)核,專為網(wǎng)絡(luò)、邊緣計算、自主機(jī)器、5G 基站、虛擬/增強(qiáng)現(xiàn)實而設(shè)計。最新的P550微處理器采用RISC-V RV64GBC ISA、13級流水線/三發(fā)射/亂序執(zhí)行的微架構(gòu)、四核集群具有4MB的三級緩存、主頻 2.4 GHz。P550 內(nèi)核的SPECint 2006測試性能為8.65/GHz,與Arm Cortex-A75對比,在SPECint2006 和 SPECfp2006 整數(shù)/浮點(diǎn)基準(zhǔn)測試中具有更高的性能,而占用面積卻小得多,四核P550 集群的占用空間與單個Cortex-A75大致相當(dāng)。

       英特爾將在其7nm Horse Creek平臺中使用P550內(nèi)核,通過將英特爾接口 IP(如 DDR 和 PCIe)與 SiFive 的最高性能處理器相結(jié)合,Horse Creek 將為高端RISC-V 應(yīng)用提供有價值且可擴(kuò)展的開發(fā)工具。

       硅谷IC設(shè)計初創(chuàng)公司Esperanto推出了集成1000多個RISC-V內(nèi)核的AI加速器芯片ET-SoC-1,專為數(shù)據(jù)中心AI推理而設(shè)計。該芯片采用臺積電7nm工藝,集成了240 億個晶體管。ET-SoC-1包含1088個高效能ET-Minion 64位RISC-V有序內(nèi)核(而且每個內(nèi)核都自帶一個矢量/張量單元);4個高性能ET-Maxion 64位RISC-V亂序內(nèi)核;超過160MB片上SRAM;外接LPDDR4x DRAM和eMMC FLASH的大容量存儲器接口;PCIe x8 Gen4和其它通用I/O接口。該芯片的峰值計算性能為100-200 TOPS,適用于ML推理,其工作功耗低于20W。

       阿里平頭哥的玄鐵910 RISC-V處理器采用12nm工藝,擁有16個內(nèi)核,主頻最高達(dá)2.5GHz,性能高達(dá)7.1 Coremark/MHz。這款高性能處理器IP可以用于設(shè)計高性能芯片,應(yīng)用于5G、人工智能、網(wǎng)絡(luò)通信以及自動駕駛等領(lǐng)域。搭載玄鐵910處理器的RVB -ICE是平頭哥開發(fā)的支持Android基礎(chǔ)功能的RISC-V開發(fā)板,頻率可達(dá)1.2GHz,集成WIFI和GMAC網(wǎng)絡(luò)通信接口,以及16GB EMMC存儲。開發(fā)者可使用該開發(fā)板參與RISC-V與Android的生態(tài)建設(shè)。

9、先進(jìn)封裝技術(shù)成“新摩爾定律”

       過去數(shù)十年來,摩爾定律猶如燈塔一般引領(lǐng)了半導(dǎo)體行業(yè)的發(fā)展,然而出于物理極限和制造成本的原因,當(dāng)先進(jìn)工藝技術(shù)走到5nm、3nm,甚至2nm時,通過晶體管微縮工藝以實現(xiàn)更高經(jīng)濟(jì)價值的邏輯正逐漸變得不再有效。

       而從市場趨勢來看,過去十年中,數(shù)據(jù)計算量的發(fā)展超過了過去四十年的總和,云計算、大數(shù)據(jù)分析、人工智能、AI推斷、移動計算,甚至自動駕駛汽車都需要海量計算。而要解決算力增長問題,除了繼續(xù)通過CMOS微縮來提高密度之外,能夠?qū)⒉煌に?架構(gòu)、不同指令集、不同功能的硬件進(jìn)行組合的異構(gòu)計算,也成為了重要方式之一。

       于是,一條不再是直線的IC技術(shù)發(fā)展路線,以及市場對創(chuàng)新解決方案的需求,將封裝,尤其是先進(jìn)封裝技術(shù),推向了創(chuàng)新的前沿。

       最新的調(diào)研數(shù)據(jù)顯示,2020年至2026年,先進(jìn)封裝市場復(fù)合年增長率約為7.9%。到2025年,該市場營收就將突破420億美元,這幾乎是傳統(tǒng)封裝市場預(yù)期增長率(2.2%)的三倍。其中,2.5D/3D堆疊IC、嵌入式芯片封裝(Embedded Die, ED)和扇出型封裝(Fan-Out, FO)是增長最快的技術(shù)平臺,復(fù)合年增長率分別為21%、18%和16%。

       目前,在先進(jìn)封裝的市場爭奪中,OSAT企業(yè)、晶圓代工廠、IDM、Fabless公司、EDA工具廠商等都加入了其中,且斥資巨大。但總體而言,在可預(yù)見的未來,2.5D/3D封裝技術(shù)將成為“先進(jìn)封裝”的核心,提升互聯(lián)密度和采用Chiplet設(shè)計會是兩條驅(qū)動“先進(jìn)封裝”發(fā)展的技術(shù)路徑,而要展現(xiàn)先進(jìn)封裝的最大價值,則需要來自全產(chǎn)業(yè)鏈的協(xié)同配合。

10、汽車域控制器和汽車大腦 

       隨著汽車行業(yè)向“新四化”不斷演進(jìn),整個汽車電子電氣架構(gòu)正在經(jīng)歷從傳統(tǒng)分布式架構(gòu)(Distributed),到基于域的集中式架構(gòu)(DCU based centralized),再到基于域融合的帶狀架構(gòu)(DCU fusion basedzonal)的發(fā)展歷程。

       目前,國內(nèi)外的汽車電子電氣架構(gòu)主要呈現(xiàn)為三域控制架構(gòu)的情況,即智艙、智算和智駕。預(yù)計2030年以后,隨著自動駕駛技術(shù)路線的逐漸成熟,自動駕駛高性能芯片將與座艙主控芯片進(jìn)一步向中央計算芯片融合,從而通過集成進(jìn)一步提升運(yùn)算效率并降低成本。

       這意味著,現(xiàn)在的汽車需要能力非常強(qiáng)大的“大腦”——既要能夠擔(dān)當(dāng)硬件中樞,還要具備非常強(qiáng)大的計算能力,以滿足在上述轉(zhuǎn)變過程中產(chǎn)生的對軟硬件提出的新需求。

       其實針對自動駕駛系統(tǒng)開發(fā),業(yè)界普遍認(rèn)為從L2+輔助駕駛到L4/L5級自動駕駛的漸進(jìn)式路線是最為可行的路徑。這就要求相應(yīng)的中央計算平臺具備超強(qiáng)的可擴(kuò)展性,支持系統(tǒng)開發(fā)的平滑演進(jìn),滿足各級自動駕駛對于算力和功耗的差異化要求,提升主機(jī)廠等合作伙伴的開發(fā)效率。

       當(dāng)然,汽車大腦芯片不能只關(guān)心峰值算力高低,而是要做到全面均衡,信息安全、功能安全、異構(gòu)架構(gòu)設(shè)計、不同數(shù)據(jù)類型處理、熱管理等多個方面均應(yīng)考慮在內(nèi)。同時,考慮到“軟件定義汽車”已成為行業(yè)共識,因此在設(shè)計時,還需要預(yù)留出足夠的冗余空間以應(yīng)對汽車架構(gòu)和AI算法的不斷變化。

       未來,汽車將毫無疑問地成為一臺機(jī)電一體化智能設(shè)備,現(xiàn)有子系統(tǒng)被盡量多的集成將會成為趨勢,這也使得硬件開發(fā)瓶頸被突破后,軟件引領(lǐng)的卓越用戶體驗開始成為汽車的重要賣點(diǎn)。